Physical Design Specialist
Há 7 dias
**About the Role**
We are seeking a highly skilled Physical Design specialist to oversee all aspects of chip design at either the full chip or block level, particularly in advanced technology nodes. The ideal candidate will have experience with floorplanning, partitioning/budgeting, power mesh distribution, clock tree planning and analysis, Scan re-ordering, placement, CTS, and place and route.
The successful candidate will lead validation/qualification tasks such as Formal Verification, EM/IR, DRC, LVS, Antenna, and ERC analysis and fixes, contributing to the overall development and implementation of Low Power solutions.
Key Responsibilities:
- Develop/enhance timing-related scripts for tasks like clock skew analysis, critical path analysis, various IO interfaces, and constraints partitioning/budgeting (from top-level to block level)
- Successfully complete multiple design cycles of high complexity with minimal supervision
**Requirements**
- BSC/MSC in Electrical/Computer Engineering
- More than 5 years of experience in challenging RTL2GDSII work conducted on 10nm or below nodes, with designs containing 500k – 1 million+ instances
- Proficiency in using PnR and timing analysis CAD tools from Synopsys and/or Cadence
-
Physical Design Lead
Há 5 horas
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroJob OverviewWe are looking for a skilled Physical Design Engineer to join our team in Lisbon. The successful candidate will be responsible for all aspects of physical design and implementation, including chip and block floorplan/implementation, power/clock distribution, chip assembly, P&R, STA, and LVS/DRC to closure.The ideal candidate will have experience...
-
Senior Physical Design Engineer
Há 5 horas
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroAbout the JobWe are seeking a highly skilled Physical Design Engineer to join our team in Lisbon. As a key member of our design development team, you will be responsible for all aspects of physical design and implementation.Key responsibilities include participating in the establishment of physical design methodologies and flow automation, as well as...
-
Physical Digital Design Lead
Há 2 dias
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroKey Responsibilities:Responsible for physical design, development, & verification of digital / mixed-signal ICs.Chip & block floorplan/implementation, power/clock distribution, chip assembly, P&R, STA, & LVS/DRC to closure.Work closely with the digital/analog design team for physical implementation and custom analog blocks/interface/IPs.Required Skills and...
-
Senior Physical Digital Design Engineer
Há 2 dias
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroJob Title: Senior Physical Digital Design EngineerWe are seeking a highly skilled Sr. Physical Digital Design Engineer to join our team in Europe. This role involves all aspects of physical design and implementation, including establishing physical design methodologies and flow automation.In this position, you will be responsible for the digital design...
-
Staff Physical Digital Design Engineer
1 semana atrás
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroSocial network you want to login/join with:Staff Physical Digital Design Engineer, LisbonClient: Monolithic Power SystemsLocation: Lisbon, PortugalJob Category: OtherEU work permit required: YesJob Reference: deddf757fd5eJob Views: 32Posted: 23.01.2025Expiry Date: 09.03.2025Job Description:Job Summary:We are looking for a Staff Physical Digital Design...
-
Asic Physical Design Engineer
2 semanas atrás
Lisboa, Lisboa, Portugal Cisco Systems, Inc. Tempo inteiroPlease note this posting is to advertise potential job opportunities.This exact role may not be open today, but could open in the near future.When you apply, a Cisco representative may contact you directly if a relevant position opens. Cisco is seeking ASIC Physical Design Engineers to join our Silicon One Team.As a member of our team, you will work with...
-
Physical Design
3 semanas atrás
Lisboa, Lisboa, Portugal Tn Portugal Tempo inteiro.Social network you want to login/join with:Client:CapgeminiLocation:Lisbon, PortugalJob Category:OtherEU work permit required:YesJob Reference:9271eaf348e5Job Views:10Posted:23.01.2025Expiry Date:09.03.2025Job Description:At Capgemini Engineering, the world leader in engineering services, we bring together a global team of engineers, scientists, and...
-
Physical Design
3 semanas atrás
Lisboa, Lisboa, Portugal Capgemini Tempo inteiroCapgemini EngineeringAt Capgemini Engineering, the world leader in engineering services, we bring together a global team of engineers, scientists, and architects to help the world's most innovative companies unleash their potential.From autonomous cars to life-saving robots, our digital and software technology experts think outside the box as they provide...
-
Physical Design
Há 7 dias
Lisboa, Lisboa, Portugal Capgemini Engineering Tempo inteiroCapgemini EngineeringAt Capgemini Engineering, the world leader in engineering services, we bring together a global team of engineers, scientists, and architects to help the world's most innovative companies unleash their potential. From autonomous cars to life-saving robots, our digital and software technology experts think outside the box as they provide...
-
Physical Design
1 semana atrás
Lisboa, Lisboa, Portugal Tn Portugal Tempo inteiroSocial network you want to login/join with:Client:CapgeminiLocation:null, PortugalJob Category:OtherEU work permit required:YesJob Reference:9271eaf348e5Job Views:4Posted:06.03.2025Expiry Date:20.04.2025Job Description:At Capgemini Engineering, the world leader in engineering services, we bring together a global team of engineers, scientists, and architects...
-
Digital Design Specialist
Há 5 horas
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroAbout the RoleWe are seeking a skilled Physical Design Engineer to join our team in Lisbon. The successful candidate will be responsible for all aspects of physical design and implementation, including chip and block floorplan/implementation, power/clock distribution, chip assembly, P&R, STA, and LVS/DRC to closure.The ideal candidate will have experience...
-
Digital Design Implementation Specialist
Há 2 dias
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroAbout the Role:We are looking for a Sr. Physical Digital Design Engineer to join our team of Design Engineers in one of our offices in Europe. This role will be on-site, no remote option is available.The candidate will be responsible for all aspects of physical design and implementation. In this role, you will participate in the efforts of establishing...
-
Design Implementation Expert
Há 5 horas
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroJob DescriptionWe are seeking a highly skilled Physical Design Engineer to join our team in Lisbon. As a key member of our design development team, you will be responsible for all aspects of physical design and implementation.Key responsibilities include participating in the establishment of physical design methodologies and flow automation, as well as...
-
Senior Physical Designer
Há 3 dias
Lisboa, Lisboa, Portugal Capgemini Tempo inteiroAt Capgemini Engineering, we're pushing the boundaries of innovation in engineering services. Our team of experts works together to help leading companies harness the power of technology and build a more sustainable future.About the RoleWe're seeking a highly skilled Senior Physical Designer to join our team. In this role, you'll oversee all aspects of...
-
Senior ASIC Design Engineer
Há 2 dias
Lisboa, Lisboa, Portugal TN Portugal Tempo inteiroEssential Functions:Responsible for physical design, development, & verification of digital / mixed-signal ICs.Chip & block floorplan/implementation, power/clock distribution, chip assembly, P&R, STA, & LVS/DRC to closure.Work closely with the digital/analog design team for physical implementation and custom analog blocks/interface/IPs.Help build an...
-
Design Systems Specialist
Há 2 dias
Lisboa, Lisboa, Portugal iTRecruiter Tempo inteiroiTRecruiter is seeking a Design Systems Specialist to join our team. This individual will be responsible for creating a unified design language across all our products and services. The ideal candidate will have a strong background in user experience, interface design, and front-end collaboration.About the RoleThe Design Systems Specialist will work closely...
-
Design for Test Expert
Há 3 dias
Lisboa, Lisboa, Portugal Capgemini Tempo inteiroYour Responsibilities:Implementing DFT (Design for Test) in line with established methodologies for cutting-edge semiconductors.Conducting top and/or block-level DFT insertion, including scan compression, JTAG, ATPG, patterns validation/simulation, MBIST/LBIST.Collaborating closely with physical design teams to address timing constraints/issues.Verifying DFT...
-
Design Director
2 semanas atrás
Lisboa, Lisboa, Portugal Dentsu Aegis Network Ltd. Tempo inteiroMerkle, a dentsu company, powers the experience economy.For more than 35 years, we have put people at the heart of its approach to digital business transformation.As the only integrated experience consultancy in the world with a heritage in data science and our performance, Merkle delivers holistic experiences that promote growth, engagement, and...
-
Design Director
2 semanas atrás
Lisboa, Lisboa, Portugal Dentsu Aegis Network Ltd. Tempo inteiroMerkle, a dentsu company, powers the experience economy.For more than 35 years, we have put people at the heart of its approach to digital business transformation.As the only integrated experience consultancy in the world with a heritage in data science and our performance, Merkle delivers holistic experiences that promote growth, engagement, and...
-
Design Director
2 semanas atrás
Lisboa, Lisboa, Portugal Dentsu Aegis Network Ltd. Tempo inteiroMerkle, a dentsu company, powers the experience economy.For more than 35 years, we have put people at the heart of its approach to digital business transformation.As the only integrated experience consultancy in the world with a heritage in data science and our performance, Merkle delivers holistic experiences that promote growth, engagement, and...